写出单总线的CPU结构中,执行读存储器数据到寄存器的指令LOAD R3,mem的过程 (其中em为内存地址值)。单总线CPU的结构示意图如题29图所示。
写出单总线的CPU结构中,执行读存储器数据到寄存器的指令LOAD R3,mem的过程 (其中em为内存地址值)。单总线CPU的结构示意图如题29图所示。
【正确答案】:送入指令地址:
(1)PCf MAR
(2) 计算机下一条指令地址:PC+1-PC
(3 )读入指令:DBUS— MDR, MDR-*IR
(4) 送内存地址值:IR(地址段)-MAR

(5) 读存储器值到CPU内:DBUS-MDR
(6) 送结果到R3中:MDR->R3
Top